• 首页 首页 icon
  • 工具库 工具库 icon
    • IP查询 IP查询 icon
  • 内容库 内容库 icon
    • 快讯库 快讯库 icon
    • 精品库 精品库 icon
    • 问答库 问答库 icon
  • 更多 更多 icon
    • 服务条款 服务条款 icon

Verilog设计_序列发生器

武飞扬头像
Clock_926
帮助1

一种序列信号发生器设计,周期性进行输出。

目录

一、序列发生器

二、代码实现


一、序列发生器

序列信号是指在同步脉冲作用下循环地产生一串周期性的二进制信号,能产生这种信号的逻辑器件则称为序列发生器。在设计中有些时候会需用使用某些非常特殊的数字信号,一般情况下就将这种特殊的串行数字信号称作序列信号。

序列信号发生器的设计方法有多种,例如:

(1)环形计数器型序列信号发生器

(2)扭环计数器型序列发生器

(3)其他任意类型的序列发生器,例如:D触发器实现序列发生器、使用计数器和多路复用器实现序列发生器、使用移位寄存器和反馈组合电路实现序列发生器等。

从结构上,一般可以分为反馈移位型和计数型两种:

(1)移位型:移位型序列信号发生器是由移位寄存器和组合电路两部分构成。组合电路的输出作为移位寄存器的串行输入。由n位移位寄存器构成的序列信号发生器所产生的序列信号的最大长度为:P=2n。

(2)计数型:计数型序列信号发生器能产生多组序列信号,这是移位型发生器所没有的功能。一般把凡具有测频和测周两种以上功能的计数器都归类为通用计数器,而序列发生器就是产生一系列特定的信号的仪器。

二、代码实现

一般情况会选择移位型来实现,会比较简单。

上代码:

  1.  
    module sequence_gen(
  2.  
    input clk,
  3.  
    input rst_n,
  4.  
    input en,
  5.  
    input [7:0] din,
  6.  
    output reg dout_lsb,
  7.  
    output reg dout_msb
  8.  
    );
  9.  
     
  10.  
    reg [7:0] tmp_lsb;
  11.  
    reg [7:0] tmp_msb;
  12.  
     
  13.  
    always@(posedge clk or negedge rst_n)begin
  14.  
    if(!rst_n)begin
  15.  
    dout_lsb <= 1'b0;
  16.  
    tmp_lsb <= 8'b0;
  17.  
    end
  18.  
    else if(en)begin
  19.  
    dout_lsb <= dout_lsb;
  20.  
    tmp_lsb <= din;
  21.  
    end
  22.  
    else begin
  23.  
    dout_lsb <= tmp_lsb[0];
  24.  
    tmp_lsb <= tmp_lsb >> 1;
  25.  
    tmp_lsb[7] <= dout_lsb;
  26.  
    end
  27.  
    end
  28.  
     
  29.  
    always@(posedge clk or negedge rst_n)begin
  30.  
    if(!rst_n)begin
  31.  
    dout_msb <= 1'b0;
  32.  
    tmp_msb <= 8'b0;
  33.  
    end
  34.  
    else if(en)begin
  35.  
    dout_msb <= dout_msb;
  36.  
    tmp_msb <= din;
  37.  
    end
  38.  
    else begin
  39.  
    dout_msb <= tmp_msb[7];
  40.  
    tmp_msb <= tmp_msb << 1;
  41.  
    tmp_msb[0] <= dout_msb;
  42.  
    end
  43.  
    end
  44.  
     
  45.  
    endmodule
学新通

这是一位的序列发生器,分为了从最低位开始和从最高位开始两种。

测试波形,周期性输出1011_0110:

学新通

测试波形,周期性输出0101_1010:

学新通

华为曾有一道手撕代码的题:循环序列的产生,请写出产生0123456776543210的循环输出序列;思路也是类似的。

  1.  
    module gen(
  2.  
    input clk,
  3.  
    input rst_n,
  4.  
    output reg [3:0] dout
  5.  
    );
  6.  
     
  7.  
    reg [63:0] tmp;
  8.  
    always@(posedge clk or negedge rst_n)begin
  9.  
    if(!rst_n)begin
  10.  
    dout <= 4'b0;
  11.  
    tmp <= 64'h0123456776543210;
  12.  
    end
  13.  
    else begin
  14.  
    dout <= tmp[63:60];
  15.  
    tmp <= tmp << 4;
  16.  
    tmp[3:0] <= dout;
  17.  
    end
  18.  
    end
  19.  
     
  20.  
    endmodule
学新通

测试波形:

学新通


这篇好文章是转载于:学新通技术网

  • 版权申明: 本站部分内容来自互联网,仅供学习及演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,请提供相关证据及您的身份证明,我们将在收到邮件后48小时内删除。
  • 本站站名: 学新通技术网
  • 本文地址: /boutique/detail/tanhfkjfef
系列文章
更多 icon
同类精品
更多 icon
继续加载